Categories: LATEST NEWS

Imec presents alternative metals in advanced interconnect and contact schemes as a path to 2nm technology nodes

At the 2020 International Interconnect Technology Conference, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, demonstrates for the first time electrically functional 2-metal-level interconnects fabricated with Ru semi-damascene and airgap technology showing a long lifetime and good mechanical strength. A complementary 12-metal-layer analysis confirms the system-level benefits of this semi-damascene technology in terms of RC, power consumption and IR drop. Ru was also shown as a promising alternative for contact plugs in the middle-of-line of advanced nodes. Alternative metallization materials such as Ru and alternative metallization approaches such as semi-damascene are intensively researched to scale the back-end-of-line (BEOL) and middle-of-line (MOL) towards the 2nm technology node and beyond.

For the BEOL, imec proposes a semi-damascene integration as an alternative to traditional dual-damascene integration. To fully leverage the potential of the semi-damascene technology, metals other than Cu or Co are required that can be deposited without diffusion barrier, have a high bulk resistivity and can be patterned using e.g. subtractive etch. This allows for interconnect height increase which, in combination with airgaps as dielectrics, promises to reduce the resistance-capacitance (RC) delay – a major bottleneck for BEOL scaling.

“The results show that semi-damascene in combination with airgap technology not only outperforms dual-damascene in frequency and area, it also provides a scalable path for further enhancements.”

Imec has for the first time fabricated and characterized a 2-metal-level semi-damascene module on 300mm wafers using Ru for the metallization. Devices with 30nm metal pitch line test structures showed more than 80 percent reproducibility (with no evidence of shorting) and a lifetime of more than 10 years. The mechanical stability of the Ru air-gapped structures was found to be comparable to traditional Cu dual-damascene structures. A complementary 12-metal-layer analysis revealed for the first time the system-level benefits of the semi-damascene approach in sub-3nm nodes – using a 64-bit ARM CPU as a benchmark design. Zsolt Tokei, program director nano-interconnects at imec: “The results show that semi-damascene in combination with airgap technology not only outperforms dual-damascene in frequency and area, it also provides a scalable path for further enhancements. Airgap shows the potential to improve performance by 10 percent while reducing the power consumption by more than 5 percent. The use of high-aspect-ratio wires can reduce the IR drop in the power network by 10 percent to improve reliability. In the near future, a newly developed mask set for the semi-damascene module will allow us to further improve the semi-damascene integration and to experimentally validate the predicted performance improvements.”

“Alternative metals such as barrier-less Ru have the potential to further reduce the contact resistance that results from shrinking the contact area. In a benchmark study, imec evaluated both Ru and Co. The results indicate that Ru is a promising candidate for replacing Co in narrow MOL trenches.”

Imec also demonstrated the beneficial impact of using Ru as alternative metal in advanced MOL contact plugs – as a replacement for W or Co. Naoto Horiguchi, director CMOS device technology at imec: “Alternative metals such as barrier-less Ru have the potential to further reduce the contact resistance that results from shrinking the contact area. In a benchmark study, imec evaluated both Ru and Co. The results indicate that Ru is a promising candidate for replacing Co in narrow MOL trenches.” The resistance of a Ru filled via on a 0.3nm TiN liner (without barrier) was shown to outperform the Co filled equivalent process (with 1.5nm TaN barrier). Ru as a source/drain contact material was also demonstrated, with low contact resistivity in the order of 10-9Ωcm-2 on both p-SiGe and n-Si.


SIVAN

Comments are closed.

Recent Posts

BeyondTrust Acquires Entitle, Strengthening Privileged Identity Security Platform with Paradigm Shifting Just-in-Time Access and Identity Governance

Entitle is a pioneering privilege management solution that discovers, manages, and automates just-in-time (JIT) access and modern identity governance and…

2 weeks ago

Samtec Introduces SIBORG Tool to Speed Component Launch Designs

Available freely to Samtec customers under NDA, SIBORG (Signal Integrity Breakout Region Guru) works with Ansys HFSS 3D Layout to…

2 weeks ago

Accelerating Mass Business AI Adoption: NeuReality Launches Developer Portal for NR1 Inference Platform, Expanding Affordable AI Access

Entire NR1 system purpose-built for a more affordable AI infrastructure allowing for faster deployment; furthering AI’s reach into more parts…

2 weeks ago

Dot Compliance Raises a $17.5 Million Up-Round in Series B Extension Funding to Advance New Category of AI-driven Compliance

Following rapid growth in its customer base to over 400, funding will fuel further AI development and create a hybrid…

2 weeks ago

Tektronix and recently acquired EA Elektro-Automatik now offer expanded power portfolio for engineers who are electrifying our world

The addition of EA’s high-efficiency regenerative power supplies greatly expands Tektronix’s trusted offering Tektronix, Inc, a leading provider in test…

3 weeks ago

Melexis unveils fully integrated inductive switch

Melexis reveals its groundbreaking Induxis® switch, the MLX92442. Contactless, magnet-free, and strayfield immune, this monolithic solution directly detects conductive targets.…

3 weeks ago