Categories: LATEST NEWS

Imec world first to demonstrate 2 Metal layer back-end-of-line for the 3nm technology node

LEUVEN (Belgium), July 8, 2019 — This week, at its technology forum ITF USA 2019, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, presents a dual-damascene 21nm pitch test vehicle relevant for manufacturing the 3nm logic technology node. With this test vehicle, a 30 percent improvement in resistance-capacitance product (RC) was obtained compared to previous generations, without impacting reliability. The need for implementing scaling boosters such as self-aligned vias and self-aligned blocks in 3nm and beyond interconnect technologies has been demonstrated.

While the dimensional scaling of traditional front-end technologies is expected to slow down, the back-end-of-line dimensions keep on scaling with ~0.7X to keep up with the required area scaling. For the 3nm logic technology node, M2 interconnect layers with metal pitches as tight as 21nm need to be manufactured while preserving the back-end-of-line’s performance. This implies a tight control of the RC delay, while maintaining good reliability.

Imec for the first time demonstrated a dual-damascene 21nm metal pitch test vehicle that is relevant for the 3nm technology node. The measured RC shows a 30 percent improvement compared to previous generations. The test vehicle also performs well in terms of reliability: no electromigration failures were observed after 530 hours at 330°C, and dielectric breakdown (TDDB) measurements demonstrated a time-to-failure >10 years at 100°C.

To pattern the M2 layer, a hybrid lithography approach was proposed, using 193nm immersion-based self-aligned quadrupole patterning (SAQP) for printing the lines and trenches, and extreme ultraviolet lithography (EUVL) for printing the block and via structures. The test vehicle implemented a barrier-less ruthenium (Ru) metallization scheme and an insulator with dielectric constant k = 3.0.

First results also demonstrate that the proposed interconnect technology can be improved by adding scaling boosters, including buried power rail, SuperVia, self-aligned blocks, fully self-aligned vias and double self-aligned blocks.

Liat

Comments are closed.

Recent Posts

BeyondTrust Acquires Entitle, Strengthening Privileged Identity Security Platform with Paradigm Shifting Just-in-Time Access and Identity Governance

Entitle is a pioneering privilege management solution that discovers, manages, and automates just-in-time (JIT) access and modern identity governance and…

2 weeks ago

Samtec Introduces SIBORG Tool to Speed Component Launch Designs

Available freely to Samtec customers under NDA, SIBORG (Signal Integrity Breakout Region Guru) works with Ansys HFSS 3D Layout to…

2 weeks ago

Accelerating Mass Business AI Adoption: NeuReality Launches Developer Portal for NR1 Inference Platform, Expanding Affordable AI Access

Entire NR1 system purpose-built for a more affordable AI infrastructure allowing for faster deployment; furthering AI’s reach into more parts…

2 weeks ago

Dot Compliance Raises a $17.5 Million Up-Round in Series B Extension Funding to Advance New Category of AI-driven Compliance

Following rapid growth in its customer base to over 400, funding will fuel further AI development and create a hybrid…

2 weeks ago

Tektronix and recently acquired EA Elektro-Automatik now offer expanded power portfolio for engineers who are electrifying our world

The addition of EA’s high-efficiency regenerative power supplies greatly expands Tektronix’s trusted offering Tektronix, Inc, a leading provider in test…

2 weeks ago

Melexis unveils fully integrated inductive switch

Melexis reveals its groundbreaking Induxis® switch, the MLX92442. Contactless, magnet-free, and strayfield immune, this monolithic solution directly detects conductive targets.…

2 weeks ago